]> git.tdb.fi Git - libs/gl.git/blobdiff - source/glsl/validate.cpp
Improve rules for interface blocks
[libs/gl.git] / source / glsl / validate.cpp
index 3d2015bde3ffaae7b1d086d5be502b430c3cc5ed..5fd4ebcae76a8d050285fcb17c48336dbfce315b 100644 (file)
@@ -30,6 +30,12 @@ DeclarationValidator::DeclarationValidator():
        anonymous_block(false)
 { }
 
+void DeclarationValidator::multiple_definition(const string &name, Statement &statement, Statement &previous)
+{
+       error(&statement, format("Multiple definition of %s", name));
+       diagnose(&previous, Diagnostic::INFO, "Previous definition is here");
+}
+
 Statement *DeclarationValidator::find_definition(const string &name)
 {
        BlockDeclarationMap *decls = &declarations[current_block];
@@ -45,12 +51,13 @@ Statement *DeclarationValidator::find_definition(const string &name)
 void DeclarationValidator::check_definition(const string &name, Statement &statement)
 {
        if(Statement *previous = find_definition(name))
-       {
-               error(&statement, format("Multiple definition of '%s'", name));
-               diagnose(previous, Diagnostic::INFO, "Previous definition is here");
-               return;
-       }
+               multiple_definition(format("'%s'", name), statement, *previous);
+       else
+               record_definition(name, statement);
+}
 
+void DeclarationValidator::record_definition(const string &name, Statement &statement)
+{
        declarations[current_block].insert(make_pair(name, &statement));
        if(anonymous_block)
                declarations[current_block->parent].insert(make_pair(name, &statement));
@@ -64,9 +71,24 @@ void DeclarationValidator::visit(VariableDeclaration &var)
 
 void DeclarationValidator::visit(InterfaceBlock &iface)
 {
-       check_definition(iface.name, iface);
+       string key = iface.interface+iface.name;
+       map<string, InterfaceBlock *>::const_iterator i = interface_blocks.find(key);
+       if(i!=interface_blocks.end())
+               multiple_definition(format("interface block '%s %s'", iface.interface, iface.name), iface, *i->second);
+       else
+               interface_blocks.insert(make_pair(key, &iface));
+
+       if(Statement *previous = find_definition(iface.name))
+       {
+               if(!dynamic_cast<InterfaceBlock *>(previous))
+                       multiple_definition(format("'%s'", iface.name), iface, *previous);
+       }
+       else
+               record_definition(iface.name, iface);
+
        if(!iface.instance_name.empty())
                check_definition(iface.instance_name, iface);
+
        SetFlag set_anon(anonymous_block, iface.instance_name.empty());
        TraversingVisitor::visit(iface);
 }