X-Git-Url: http://git.tdb.fi/?a=blobdiff_plain;f=source%2Fpackage.cpp;h=031ceb5f95c3a0bac333ed5c50df60137c71e834;hb=09325a99816a966bc17a0ec9a0a197efc6ce0349;hp=0bea2a96b03048c4d476815b56a799d7270526f2;hpb=2842eeda5aa7c143b3ff54d48f8673bbdcb35c75;p=builder.git diff --git a/source/package.cpp b/source/package.cpp index 0bea2a9..031ceb5 100644 --- a/source/package.cpp +++ b/source/package.cpp @@ -1,5 +1,5 @@ -#include -#include +#include +#include #include "builder.h" #include "misc.h" #include "package.h" @@ -270,7 +270,7 @@ void Package::create_build_info() export_binfo.libpath.push_back((Path::Path(config.get_option("prefix").value)/"lib").str()); string optimize=config.get_option("optimize").value; - if(strtol(optimize)) + if(lexical_cast(optimize)) { build_info.cflags.push_back("-O"+optimize); string cpu=config.get_option("cpu").value; @@ -278,7 +278,7 @@ void Package::create_build_info() build_info.cflags.push_back("-march="+cpu); } - if(strtobool(config.get_option("debug").value)) + if(lexical_cast(config.get_option("debug").value)) { build_info.cflags.push_back("-ggdb"); build_info.defines.push_back("DEBUG"); @@ -313,6 +313,7 @@ Package::Loader::Loader(Package &p): add("require", &Loader::require); add("program", &Loader::program); add("library", &Loader::library); + add("module", &Loader::module); add("headers", &Loader::headers); add("build_info", &Loader::build_info); } @@ -336,6 +337,13 @@ void Package::Loader::library(const string &n) pkg.components.push_back(prog); } +void Package::Loader::module(const string &n) +{ + Component prog(pkg, Component::MODULE, n); + load_sub(prog); + pkg.components.push_back(prog); +} + void Package::Loader::headers(const string &n) { Component prog(pkg, Component::HEADERS, n);