X-Git-Url: http://git.tdb.fi/?a=blobdiff_plain;f=source%2Flibr2c2%2Fsignal.cpp;h=02a07d76bc9585861b4c7df0a0954f3060772d7c;hb=4655bb74f9d6d7f6db8fca9e22721e6c0e1ada12;hp=73563b6e815d1d6b7d3c8fd6ca957a4120ca8cca;hpb=ce2ff9a6e154f5b245cfb35114566489ab3e597c;p=r2c2.git diff --git a/source/libr2c2/signal.cpp b/source/libr2c2/signal.cpp index 73563b6..02a07d7 100644 --- a/source/libr2c2/signal.cpp +++ b/source/libr2c2/signal.cpp @@ -70,7 +70,7 @@ void Signal::set_rotation(const Angle &r) void Signal::update_attachment() { - attach_to_closest(layout.get_catalogue().get_gauge()*2); + attach_to_closest(2); if(track) block = track.block_iter(); @@ -107,12 +107,16 @@ void Signal::tick(const Time::TimeDelta &) if(check_allocated_blocks) { unsigned n_blocks = 0; - BlockIter iter = block.next(); - while(iter && iter->get_train()==train) + const Block *last = train->get_block_allocator().last().block(); + if(block.block()!=last) { - if(iter->get_sensor_id()) - ++n_blocks; - iter=iter.next(); + BlockIter iter = block.next(); + while(iter && iter->get_train()==train && iter.block()!=last) + { + if(iter->get_sensor_address()) + ++n_blocks; + iter = iter.next(); + } } check_allocated_blocks = false; @@ -160,7 +164,7 @@ void Signal::train_advanced(Block &b) { if(&b==block.block()) passing = true; - else if(passing && b.get_sensor_id()) + else if(passing && b.get_sensor_address()) { layout.get_driver().set_signal(address, type.get_indications().back().aspect); reset();